正在进行安全检测...

发布时间:2023-12-01 15:38:44

实验一41数据选择器一实验目的1、学习EDA软件的基本操作2、学习使用原理图进行设计输入3、初步掌握软件输入、编译、仿真和编程的过程4、学习实验开发系统的使用方法实验仪器与器材EDA开发软件一套实验开发系统一台微机一台打印机一台三实验说明本实验通过基本门电路完成41数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的基本过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。四实验要求1、完成四选一数据选择器的原理图输入并进行编译2、对设计的电路经行仿真验证3、编程下载并在实验开发系统上验证设计结果五实验原理图:六仿真波形

正在进行安全检测...

相关推荐