单片机八路竞赛抢答器

发布时间:2011-04-06 08:47:22

课程设计设计任务书

设计任务和要求

设计一个八路抢答器

要求设计要求:

以单片机为核心,设计一个8位竞赛抢答器。

1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0-S7表示。

2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30s等)。当主持人启动“开始”按键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续时间为0.5s左右。

5)参赛选手在设定时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手编号和抢答时间,并保持到主持人将系统清除为止。

6)如果定时时间到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00

(1) 硬件设计:

1)单片机建议选用AT89C51

2LED显示系统:

采用2个数码管显示定时时间及抢答选手编号。

3)电源供电系统:本系统采用220V电源供电,应设计相应的稳压电源

电路。但课程设计受时间限制,也可采用现成的5V直流稳压电源供电,这样可以节约设计时间、简化设计过程。

2)、软件设计

根据设计要点,软件设计首先应对系统资源进行进行分配和说明。为了增加程序的可读性,理清程序的编写思路,建议程序采用模块化结构。

设计目的通过设计学习单片机最小系统的基本设计方法,掌握单片机应用系统的开发调试过程。

1)学习单片机开发工具功能、特点和使用方法。

2)学会单片机控制系统程序的编制和编制和调试方法。

3)设计单片机抢答器硬件电路,绘制出电路原理图。

4)编制并调试出键盘扫描程序和显示驱动程序。

5)掌握单片机定时器的基本用法,编制出定时器的中断程序。

很多人都抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。
本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。

认为学习是枯燥无味的,有时觉得与现实太遥远。在学习之余,我们仍然可以找到一点有趣的事情,比如说做一个声控灯泡、数字钟等等。生活中我们要找到自己感兴趣的东西。这次综合课程设计中,我们制作了八路抢答器。


Abstract

Many people are scare-answering device as a tool, has been widely applied in all kinds of intelligence and knowledge competition situation. But vies to implement use frequency is quite low, and some either make complicated or low reliability. As a unit, if specialized purchased a vies to implement whereas economically may inherit, but every year the number of little use for long-term storage, often make (electronics) vies is damaged, again the purchase of trouble and timeliness will affect activities, so this contest was designed device.

This design is 8 vies for basic ideas. Considering according to need to set limit to answer function, using AT89C51 and periphery interface implementation of scare-answering system, of the microcontroller timer/counter timing and numeration principle, software and hardware organically, and makes the system can correctly to the time, at the same time making digital tube can properly shows the time.

Think learning are dull, sometimes I feel too far with reality. In besides study, we can still find a bit funny things, like a sonic bulb, a digital clock, etc. The life we want to find what they are interested in. The integrated curriculum design, we made a zhuhai vies to implement


课程设计任务书---------------------------------------------------------------------------------------------2

摘要-------------------------------------------------------------------------------------------------------------- 3

第1章 系统设计内容-------------------------------------------------------------------------------------6

绪论----------------------------------------------------------------------6

1.1 系统设计--------------------------------------------------------------------------------------------6

2 硬件设计-------------------------------------------------------------------------------------- 7

2.1 单片机控制原理---------------------------------------------------------------------------------------- 7

2.2 抢答器的原理------------------------------------------------------------------------------------------- 7

2.2.1 原理框图------------------------------------------------------------------------------------------------8

2.3 功能模块电路------------------------------------------------------------------------------------------- 8

2.3.1 晶振复位及开始抢答电路------------------------------------------------------------------------ 8

2.3.2 选手抢答键(矩阵式键盘)--------------------------------------------------------------------- 9

2.3.3.显示与显示驱动电路------------------------------------------------------------------------------ 10

2.3.4 蜂鸣器音频输出电路--------------------------------------------------------------------------------11

3 软件设计---------------------------------------------------------------------------------------- 12

3.1程序设计----------------------------------------------------------------------------------------------------12

3.1.1 系统流程图---------------------------------------------------------------------------------------------12

3.1.2 显示抢答违规流程图------------------------------------------------- 13

3.1.3 抢答成功流程图----------------------------------------------------- 13

3.2 程序代码------------------------------------------------------------- 13

4 系统调试--------------------------------------------------------13

5 致谢------------------------------------------------------------ 14

参考文献------------------------------------------------------------------14

附录一 程序代码---------------------------------------------------------- 14

附录二 原理图-------------------------------------------------------------24


·绪

单片机作为计算机的一个重要分支,其应用范围很广,发展也很快,它已成为在现代电子技术、计算机应用、网络、通信、自动控制与计量测试、数据采集与信号处理等技术中日益普及的一项新兴技术,应用范围十分广泛。对于计算机专业的学生来说,即使暂时没有从事单片机的应用与开发,学习单片机也有很重要的意义。学习它,不仅为将来可能从事该方面的开发打下基础,另一方面,由于单片机作为微型计算机的一个种类,麻雀虽小,五脏俱全,可以把它当作微型计算机的一个简化模型来看待,学习单片机可以加深对微型计算机工作原理的理解,更加清楚计算机的脉络。同时,提供了一个实际应用手段。21世纪,是一个信息技术飞速发展的时代,智力竞赛是一种形式比较活泼的教育方式,是人们休闲娱乐生活的一部分。这些竞赛一方面充实了人们的娱乐生活,另一方面也提高了人们努力汲取相关领域的知识的兴趣。智力竞赛,也就是几个参赛选手之间在规定的时间,规定的地点相互竞争的比赛。
抢答是各种竞赛常用的一种形式。在抢答赛中,往往要有主持人宣布抢答的开始,还要确定是哪个选手抢到了答题权,具体答题的时间有时也要设定。这些如果仅凭主持人的主观判断,很容易出现误判的情况。因此,在竞赛中,抢答器就扮演了一个非常重要的角色。

1 系统设计内容

1.1系统设计依据
抢答器由计数器、寄存器、集成定时器和译码显示等组合、时序电路组成。可分为抢答电路,定时电路,报警电路等几个单元部分。每个单元电路分别可以处理一些抢答竞赛中的基本问题。
本次课程设计设计的是一个多路定时抢答器,是一个多于两位选手参赛的一个抢答器,具有锁存和显示功能。同时有主持人控制系统的清零和抢答的开始。抢答开始后,若有任何一名选手按动抢答按钮,抢答器就会显示该选手编号直至系统被主持人清零,并有扬声器发出提示,同时其他人再抢答就无效了。这次设计的抢答器还有自动定时功能,主持人可以设定选手答题的时间。当主持人启动开始键后,定时器会自动减计时,这个会显示在显示器上。选手只有在抢答时间内抢答才有效,若在答题时间内没有选手答题,时间到时,报警电路就会发出警报亮灯并且禁止抢答。

2 硬件设计

2.1 单片机控制原理

单片机(SCM)是单片微型计算机(Single Chip Microcomputer)的简称。它是把中央处理器CPU、随机存储器RAM、只读存储器ROMI/O接口电路、定时/计数器以及输入输出适配器都集成在一块芯片上,构成一个完整的微型计算机。它的最大优点是体积小,可放在仪表内部。但存储量小,输入输出适配器简单,功能较低。目前,单片机在民用和工业测控领域得到最广泛的应用,早已深深地融入人们的生活中。

简单的说,用单片机系统来设计抢答器,实现两组的抢答时间即使是相差几微秒,也可分辨出哪组优先答题。

P0端口(P0.0-P0.7):P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4TTL门电流,当P2口被写1时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址1时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3端口(P3.0-P3.7):

P3口管脚是一个带有内部上拉电阻的8位的双向I/O端口,可接收输出4TTL门电流。当P3口写入1后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。

2.2 抢答器的原理

智力竞赛抢答器用单片机来设计制作完成,由于其功能的实现主要通过软件编程来完成, 采用单片机AT89C51,它是低功耗、高性能的CMOS8位单片机。片内带有4KBFlash存储器,且允许在系统内改写或用编程器编程。该智力竞赛抢答器的准确度很高,其误差主要由晶振自身的误差所造成。

AT89C51单片机由微处理器,存储器,I/O口以及特殊功能寄存器SFR等部分构成。其存储器在物理上设计成程序存储器和数据存储器两个独立的空间,片内程序存储器的容量为4KB,片内数据存储器为128个字节。89C51单片机有48位的并行I/O口:P0口,P1口,P2口和P3口。各个接口均由接口锁存器,输出驱动器,和输入缓冲器组成。P1口是唯一的单功能口,仅能用作通用的数据输入/输出口。P3口是双功能口除了具有数据输入/输出功能外,每条接口还具有不同的第二功能,如P3.0是串行输入口线,P3.1口是串行输出口线。在需要外部程序存储器和数据存储器扩展时,P0可作为分时复用的低8位地址/数据总线,P2口可作为高8位的地址总线。P3口也可作为AT89C51的一些特殊功能口,同时为闪烁编程和编程校验接收一些控制信号。

2.2.1 原理及电路总框图

2.2.1抢答器总原理图

2.3 功能模块电路

2.3.1晶振复位及开始抢答电路

晶振的频率为12MHZ,提供89C51的时钟脉冲使89C51工作,复位电路是单片机初始化,使单片机重新开始执行程序。当复位开关按下RST由低电平变为高电平,则程序从头开始执行,在此次课程设计电路中当一个问题结束主持人后按下复位开关后进行下一题的准备。

2.3.1 晶振复位电路

2.3.1开始抢答电路

2.3.2 选手抢答键(矩阵式键盘)

89C51P1口做一个为选手抢答的输入按键引脚,P1.0P1.7轮流输出低电位,给每一个选手编号18,当选手按下按钮时,P1口个端口的电平变化从P1口输入,经单片机处理后从P0输出由数码管显示抢答者编号。

2.3.2 选手抢答电路

2.3.3 显示与显示驱动电路

此电路包括显示和驱动,显示采用数码管,驱动用P2口, 违规者编号、抢答30秒倒计时、正常抢答者编号和回答问题时间60秒倒计时,数码管采用动态显示。驱动电路P2口,查询显示程序利用P0口做段选码口输出P23位做位选码输出,当为低电平则能驱动数码管使其显示数字。在+5V电压下接10k的电阻,保证正常压降。

2.3.3 示与显示驱动电路

2.3.4蜂鸣器音频输出电路

通过控制不同频率的矩形脉冲来控制蜂鸣器发声。此次课程设计中只需要一些简单的提示声音和稍微显眼的灯控,有抢答违规,开始抢答,抢答时间结束和回答时间到得提示声和亮灯提醒。

2.3.4蜂鸣器音频输出电路

3 软件设计

3.1程序设计

3.1.1 系统流程图

3.1.2显示抢答违规流程图

3.1.3抢答成功流程图

3.2 程序代码

见附录一

4 系统调试

软件的设计与调试实行分模块实现的方法。本设计软件调试中的分模块包括显示功能模块,调整时间功能模块,抢答功能模块以及报警功能模块。各个独立模块功能调试成功后,将这些模块程序通过主程序合并在一起,最后再对合并后的总程序进行调试。各软件模块首先要通过PC和仿真器进行软件调试,当仿真效果符合要求后在烧写进单片机看是否在实际电路板上正常工作。本设计通过利用Proteus仿真,将所编写的程序用wave软件编译,所仿真原理图见附录。

5 致谢

在设计之前,参考了许多相关的资料。在设计中又参考了书中抢答器的原理图,有了基本的思路。

但着手设计时,又出现了许多未预料到的问题经同学以及老师的帮助,发现错误的原因,并及时的改正。通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。周的课程设计,留给我印象最深的是要设计一个成功的课程设计,必须要有耐性和坚持下去的毅力。

总之,这次实验过程中我受益匪浅,在摸索我和我的搭档实现了课题所要求的结果。培养了我的设计思维,增加了动手操作的能力。更让我体会到实现课程设计的功能喜悦

参考文献资料

[1] 友德. 《单片机原理及应用. 机械工业出版社 2000.

[2] 张光飞. 单片机课程设计实例指导)》. 北京航天航空大学出版社 2003.

[3] 雷伏蓉. 51单片机常用模块设计查询手册清华大学出版社 2005.

[4] 张俊谟. 《单片机初级教程》. 北京航天航空大学出版社 2000.

[5] 何宗彬 8位单片机开发技术与规范 机械工业出版社 1999

[6] 杨居民 《单片机课程设计指导》 清华大学出版社 2000

[7] 何立民 《单片机应用系统设计》 北京航天航空大学出版社 2000

附录一

抢答器程序

OK EQU 20H;抢答开始标志位

RING EQU 22H;响铃标志位

ORG 0000H

AJMP MAIN

ORG 0003HAJMP INT0SUB

ORG 000BH

AJMP T0INT

ORG 0013H

AJMP INT1SUB

ORG 001BH

AJMP T1INT

ORG 0040H

MAIN: MOV R1,#30;初设抢答时间为30s

MOV R2,#60;初设答题时间为60s

MOV TMOD,#11H;设置未定时器/模式1

MOV TH0,#0F0H

MOV TL0,#0FFH;越高发声频率越高,越尖

MOV TH1,#3CH

MOV TL1,#0B0H;50ms为一次溢出中断

SETB EA

SETB ET0

SETB ET1

SETB EX0

SETB EX1;允许四个中断,T0/T1/INT0/INT1

CLR OK

CLR RING

SETB TR1

SETB TR0;一开始就运行定时器,以开始显示FFF.如果想重新计数,重置TH1/TL1就可以了

;=====查询程序=====

START: MOV R5,#0BH

MOV R4,#0BH

MOV R3,#0BH

ACALL DISPLAY;未开始抢答时候显示FFF

JB P3.0,NEXT;ddddddd

ACALL DELAY

JB P3.0,NEXT;去抖动,如果"开始键"按下就向下执行,否者跳到非法抢答查询

ACALL BARK;按键发声

MOV A,R1

MOV R6,A;R1->R6,因为R1中保存了抢答时间

SETB OK;抢答标志位,用于COUNT只程序中判断是否查询抢答

MOV R7,#01H ;读抢答键数据信号标志,这里表示只读一次有用信号

MOV R3,#0AH;抢答只显示计时,灭号数

AJMP COUNT;进入倒计时程序,"查询有效抢答的程序"COUNT里面

NEXT: JNB P1.0,FALSE1

JNB P1.1,FALSE2

JNB P1.2,FALSE3

JNB P1.3,FALSE4

JNB P1.4,FALSE5

JNB P1.5,FALSE6

JNB P1.6,FALSE7

JNB P1.7,FALSE8

AJMP START

;=====非法抢答处理程序=====

FALSE1: MOV R3,#01H

AJMP ERROR

FALSE2: MOV R3,#02H

AJMP ERROR

FALSE3: MOV R3,#03H

AJMP ERROR

FALSE4: MOV R3,#04H

AJMP ERROR

FALSE5: MOV R3,#05H

AJMP ERROR

FALSE6: MOV R3,#06H

AJMP ERROR

FALSE7: MOV R3,#07H

AJMP ERROR

FALSE8: MOV R3,#08H

AJMP ERROR

;=====INT0(抢答时间R1调整程序)=====

INT0SUB:MOV A,R1

MOV B,#0AH

DIV AB

MOV R5,A

MOV R4,B

MOV R3,#0AH

ACALL DISPLAY;先在两个时间LED上显示R1

JNB P3.4,INC0;P3.4+1s,如按下跳到INCO

JNB P3.5,DEC0;P3.5-1s,如按下跳到DECO

JNB P3.1,BACK0;P3.1为确定键,如按下跳到BACKO

AJMP INT0SUB

INC0: MOV A,R1

CJNE A,#63H,ADD0;如果不是99,R21,如果加到99,R1就置0,重新加起。

MOV R1,#00H

ACALL DELAY1

AJMP INT0SUB

ADD0: INC R1

ACALL DELAY1

AJMP INT0SUB

DEC0: MOV A,R1

JZ SETR1;如果R10, R1就置99

DEC R1

ACALL DELAY1

AJMP INT0SUB

SETR1: MOV R1,#63H

ACALL DELAY1

AJMP INT0SUB

BACK0: RETI

;=====INT1(回答时间R2调整程序)=====

INT1SUB:MOV A,R2

MOV B,#0AH

DIV AB

MOV R5,A

MOV R4,B

MOV R3,#0AH

ACALL DISPLAY

JNB P3.4,INC1

JNB P3.5,DEC1

JNB P3.1,BACK1

AJMP INT1SUB

INC1: MOV A,R2

CJNE A,#63H,ADD1

MOV R2,#00H

ACALL DELAY1

AJMP INT1SUB

ADD1: INC R2

ACALL DELAY1

AJMP INT1SUB

DEC1: MOV A,R2

JZ SETR2

DEC R2

ACALL DELAY1

AJMP INT1SUB

SETR2: MOV R2,#63H

ACALL DELAY1

AJMP INT1SUB

BACK1: RETI

;=====倒计时程序(抢答倒计时和回答倒计时都跳到改程序)=====

REPEAT:MOV A,R2 ;使用锦囊时重新计时

MOV R6,A

CLR RING

COUNT: MOV R0,#00H;重置定时器中断次数

MOV TH1,#3CH

MOV TL1,#0B0H;重置定时器

RECOUNT:MOV A,R6;R6保存了倒计时的时间,之前先将抢答时间或回答时间给R6

MOV B,#0AH

DIV AB;除十分出个位/十位

MOV 30H,A;十位存于(30H)

MOV 31H,B;个位存于(31H)

MOV R5,30H;取十位

MOV R4,31H;取个位

MOV A,R6

SUBB A,#07H

JNC LARGER;大于5s跳到LARGER,小于等于5s会提醒

MOV A,R0

CJNE A,#0AH,FULL;1s0.5s向下运行

CLR RING

AJMP CHECK

FULL: CJNE A,#14H,CHECK;下面是1s的情况,响并显示号数并清R0,重新计

SETB RING

MOV A,R6

JZ QUIT;计时完毕

MOV R0,#00H

DEC R6;一秒标志减1

AJMP CHECK

LARGER: MOV A,R0

CJNE A,#14H,CHECK;如果1s向下运行,否者跳到查"/显示"

DEC R6;计时一秒R6自动减1

MOV R0,#00H

CHECK: JNB P3.1,QUIT;如按下停止键退出

JNB OK,CHECKK ;只在回答倒计时才有效

AJMP NEXTT

CHECKK:JNB P3.0,REPEAT ;判断是否使用锦囊

NEXTT: ACALL DISPLAY

JB OK,ACCOUT;如果是抢答倒计时,如是则查询抢答,否者跳过查询继续倒数(这里起到锁抢答作用)

AJMP RECOUNT

ACCOUT:

MOV A,36H

JNB ACC.0,TRUE1

JNB ACC.1,TRUE2

JNB ACC.2,TRUE3

JNB ACC.3,TRUE4

JNB ACC.4,TRUE5

JNB ACC.5,TRUE6

JNB ACC.6,TZ1

JNB ACC.7,TZ2

AJMP RECOUNT

TZ1:JMP TRUE7

TZ2:JMP TRUE8

QUIT: CLR OK;如果按下了"停止键"执行的程序

CLR RING

AJMP START

;=====正常抢答处理程序=====

TRUE1: ACALL BARK

MOV A,R2

MOV R6,A;抢答时间R2R6

MOV R3,#01H

CLR OK;因为答题的计时不再查询抢答,所以就锁了抢答

AJMP COUNT

TRUE2:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#02H

CLR OK

AJMP COUNT

TRUE3:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#03H

CLR OK

AJMP COUNT

TRUE4:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#04H

CLR OK

AJMP COUNT

TRUE5:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#05H

CLR OK

AJMP COUNT

TRUE6: ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#06H

CLR OK

AJMP COUNT

TRUE7:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#07H

CLR OK

AJMP COUNT

TRUE8:ACALL BARK

MOV A,R2

MOV R6,A

MOV R3,#08H

CLR OK

AJMP COUNT

;=====犯规抢答程序=====

ERROR: MOV R0,#00H

MOV TH1,#3CH

MOV TL1,#0B0H

MOV 34H,R3;犯规号数暂存与(34H)

HERE: MOV A,R0

CJNE A,#06H,FLASH;0.3s向下运行->灭并停响

CLR RING

MOV R3,#0AH

MOV R4,#0AH

MOV R5,#0AH;三灯全灭

AJMP CHECK1

FLASH: CJNE A,#0CH,CHECK1;下面是0.8s的情况,响并显示号数并清R0,重新计

SETB RING

MOV R0,#00H

MOV R3,34H;取回号数

MOV R5,#0BH

MOV R4,#0BH;显示FF和号数

AJMP CHECK1

CHECK1: JNB P3.1,QUIT1

ACALL DISPLAY

AJMP HERE

QUIT1: CLR RING

CLR OK

AJMP START

;=====显示程序=====

DISPLAY:MOV DPTR,#DAT1;查表显示程序,利用P0口做段选码口输出/P2低三位做位选码输出,

MOV A,R3

MOVC A,@A+DPTR

MOV P2,#0feH

MOV P0,A

ACALL DELAY2

MOV DPTR,#DAT2

MOV A,R5

MOVC A,@A+DPTR

MOV P2,#0fdH

MOV P0,A

ACALL DELAY2

MOV A,R4

MOVC A,@A+DPTR

MOV P2,#0fbH

MOV P0,A

ACALL DELAY2

RET

DAT1:DB 00h,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H

;"","1","2","3","4","5","6","7","8","9","","F"

DAT2:DB 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H

;第一个为零,其他与上相同,因为十位如果为零显示熄灭

;====加减时间延时(起到不会按下就加N个数)======

DELAY1: MOV 35H,#08H

LOOP0: ACALL DISPLAY

DJNZ 35H,LOOP0

RET

;=====延时4236个机器周期(去抖动用到)=====

DELAY: MOV 32H,#12H

LOOP: MOV 33H,#0AFH

LOOP1: DJNZ 33H,LOOP1

DJNZ 32H,LOOP

RET

;=====延时4236个机器周期(显示用到)=====

DELAY2: MOV 32H,#43H

LOOP3: MOV 33H,#1EH

MOV A,R7 ;每隔60~70个机器周期读一次P1,全为1时为无效数据,继续读,有一个不为1,转到正常抢答处理

JNZ AAAA1 ;没读到有效数据时继续转到AAAA1

LOOP2: DJNZ 33H,LOOP2

DJNZ 32H,LOOP3

RET

;=====读抢答按键数据口程序=====

;由于在读抢答数据口的时候,单片机首先进入倒计时程序,再调用显示程序,最后才检测按键口

;然而在检测按键口时动态扫描要调用三次(4ms)延时程序.这样就会导致读数据口出现滞后,造成1号优先最高.8号最低.

;故采用在延时子程序中加了读数据口程序.保证了灵敏度和可靠性

AAAA1: MOV A,P1

CJNE A,#0FFH,AA1 ;当不全为1时的数据为有效数据

AA0: MOV 36H,A ;将有效数据送到36H暂存

AJMP LOOP2

AA1: DEC R7

AJMP AA0

;=====发声程序=====

BARK: SETB RING

ACALL DELAY1

ACALL DELAY1

CLR RING;按键发声

RET

;=====TO溢出中断(响铃程序)=====

T0INT: MOV TH0,#0ECH

MOV TL0,#0FFH

JNB RING,OUT;

CPL P3.6;RING标志位为1时候P3.6口不短取反使喇叭发出一定频率的声音

OUT: RETI

;=====T1溢出中断(计时程序)=====

T1INT: MOV TH1,#3CH

MOV TL1,#0B0H

INC R0

RETI

END

附录二

原理及电路总框图

单片机八路竞赛抢答器

相关推荐