2ASK调制器与解调器设计-

发布时间:



2007级学生数字通信

原理课程设计





数字通信原理课程设计报告书


课题名称 指导教师

2ASK调制器与解调器设计


物理与电信工程系
通信工程

2010 1 15





一、 设计任务及要求 设计目的
熟练掌握Quartus设计软件的使用以及VHDL这一重要的硬件描述语言。一步理解2ASK信号的调制与解调。掌握利用数字信号的离散取值特点通过开关键控载波实现数字调制的方法。

设计要求
利用Quartus设计软件,编写VHDL程序,实现2ASK信号的调制与解调。并进行仿真,分析仿真结果。



指导教师签名:



2010 1 15


二、指导教师评语:


指导教师签名: 2010 1 15
三、成绩


验收盖章 2010 1 15





2ASK调制器与解调器设计

1设计目的
熟练掌握Quartus设计软件的使用以及VHDL这一重要的硬件描述语言。进一步理解2ASK信号的调制与解调过程。掌握利用数字信号的离散取值特点通过开关键控载波实现数字调制的方法。
2设计原理
2.1 2ASK调制
振幅键控是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。数字信号对载波振幅调制称为振幅键控即 ASKAmplitudeShift KeyingASK有两种实现方法:键控法和乘法器实现法。
键控法是产生ASK信号的一种方法。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控On Off Keying所以2ASK又称为通断控制OOK最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。1.1所示是该方法的原理框图。
uASK(t
1.1 键控法产生ASK信号原理框图


另一种方法是乘法器实现法,其输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器常采用环形调制器。
为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,1.2所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,
1

ASK调制,产生uASK(t信号。

1.2 用数字电路实现ASK调制
2.2 2ASK解调
振幅键控信号解调有两种方法,即同步解调法和包络解调法。包络解调是一种非相干解调,原理框图如图1.3所示。
e2ASK(t带通滤波器全波整流器低通滤波器抽样判决器
输出定时脉冲

1.3 ASK包络解调原理框图
同步解调也称相干解调, uASK(t信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。相干解调组成方框图如图1.4所示
e2ASK(t带通滤波器
相乘器低通滤波器抽样判决器
输出
Cos(wt1.4 相干解调器原理框图
定时脉冲

3设计步骤
1.分析2ASK信号调制解调原理, 设计2ASK调制解调产生程序流程,编写
2

实现2ASK调制与解调的VHDL语言程序。
2.分别对调制与解调程序进行编译。生成调制解调器然后进行仿真。包括建立波形文件、输入信号节点、设置波形参量、编辑输入信号、保存波形文件、运行仿真器等过程。完成仿真后分析仿真波形并记录。

4程序设计
4.1 2ASK调制VHDL程序
library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic; --调制信号
end PL_ASK;
architecture behav of PL_ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号 begin process(clk begin if clk'event and clk='1' then
if start='0' then q<=0; elsif q<=1 then f<='1';q<=q+1; --改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f<='0';q<=0; --改变q后面数字的大小,则改变载波信号的频率 else f<='0';q<=q+1;
end if;
3

end if; end process; y<=x and f; --对基带码进行调制 end behav;

4.2 2ASK解调VHDL程序
library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK2 is port(clk :in std_logic; start :in std_logic; x :in std_logic; y :out std_logic;
end PL_ASK2;
architecture behav of PL_ASK2 is signal q:integer range 0 to 11; signal xx:std_logic; signal m:integer range 0 to 5;
begin process(clk
begin if clk'event and clk='1' then xx<=x; if start='0' then q<=0; elsif q=11 then q<=0; else q<=q+1; end if; end if;
--系统时钟 --同步信号 --调制信号 --基带信号 --计数器 --寄存x信号 --xx的脉冲数 --对系统时钟进行q分频 --clk上升沿时,把x信号赋给中间信号xx --if语句完成q的循环计数
4

end process; process(xx,q --此进程完成ASK解调 begin
if q=11 then m<=0; --m计数器清零 elsif q=10 then

if m<=3 then y<='0'; --if语句通过对m大小,来判决y输出的电平 else y<='1';
end if; elsif xx'event and xx='1'then m<=m+1; --xx信号的脉冲个数 end if; end process; end behav;

5仿真图及分析
5.1 2ASK调制VHDL仿真图如图5.1及局部放大图5.2
5.1 2ASK调制VHDL仿真图


5


注:a.基带码长等于载波f6个周期。b. 输出的调制信号y滞后于输入基带信号x一个clk时间
5.2 2ASK调制仿真局部放大图
5.2 2ASK解调VHDL仿真图如图5.3及局部放大图5.4
5.3 2ASK解调VHDL仿真图

注:a.q=11时,m清零。
b.q=10时,根据m的大小,进行对输出基带信号y的电平的判决。 c.q为其它时,mxxx信号的寄存器)的脉冲数。 d. 输出的基带信号y滞后输入的调制信号x 10clk 5.4 2ASK解调仿真局部放大图



6

5.3 仿真结果分析
从调制仿真图中可以看到数字基带信号对载波进行调制,载波频率和初始相位保持不变。符号“1”和“0”分别表示有电压和没有电压。并且调制后的信号滞后于输入基带信号一个clk时间。从解调仿真图可以看到,已调信号经解调后还原成基带信号。已调信号有电压时解调为“1,没有信号时解调为“0。且滞后输入的调制信号10clk时间。

6设计总结
通过这次通信原理课程设计实验,我进一步掌握了Quartus设计仿真软件以VHDL硬件描述语言的使用方法。理解了2ASK信号的调制与解调以及其硬件实现过程。加深了对通信原理这门课程的认识。通过此次课程设计,认识到课堂上学到的知识只有经过实践,才能掌握和利用,体现出它的价值。也让我明白了动手能力培养的重要性。此外,在本次课程设计中,我也深深认识到掌握一种专业仿真软件的使用是大学生应该具备的重要技能。

7心得与体会
在设计过程中,获得的最大体会是学习需要课外知识的补充。查阅课外有关资料是非常必要的。与此同时,遇到问题自己找方法解决,锻炼了自己解决实际问题的能力;不懂的地方向老师同学虚心请教。设计过程中老师和同学的帮助让我获益匪浅。也认识到动手操作能力和掌握一门专业仿真软件的重要性。
参考文献
[1] 江国强.EDA技术与应用[M].电子工业出版社,200771-90 [2]曹志刚,钱亚生.现代通信原理[M].北京:清华大学出版社,1994:34-53. [3]王兴亮,寇宝明.数字通信原理与技术[M].西安:西安电子科技大学出版社,2009:32-41. [4]周炯槃.通信原理[M].北京:北京邮电大学出版社,200835-87. [5]崔良海,徐洁.数据通信技术[M].北京:北京大学出版社, 2009:53-74.


7

2ASK调制器与解调器设计-

相关推荐