An automated design flow for vibration-based ehs

发布时间:


Anautomateddesignflowforvibration-based
energyharvestersystems
LeranWang,TomJ.Kazmierski,BashirM.Al-Hashimi,
SteveP.BeebyandDibinZhu
SchoolofElectronicsandComputerScience
UniversityofSouthampton,UK
{lw04r,tjk,bmah,spb,db05r}@ecs.soton.ac.uk
energyAbstractharvester—Thispaperdesignproposes,flowwhichfortheisbasedfirsttime,onaansingleautomatedHDLsoftwareplatformthatcanbeusedtomodel,simulate,configureandoptimiseenergyharvestersystems.Ademonstratorpro-totypeincorporatinganelectromagneticmechanical-vibration-basedmicro-generatorandalimitednumberoflibrarymodelshasbeendevelopedandadesigncasestudyhasbeencarriedout.Experimentalmeasurementshavevalidatedthesimulationresultswhichshowthattheoutcomefromthedesignflowcanimprovetheenergyharvestingefficiencyby75%.
I.INTRODUCTION
Overthelastdecade,akeydesignconstraintoftheem-beddedelectronicsinmobileapplicationshasbeenthepowerconsumption,mainlyduetothelimitationsofbatterylife.Asaresult,systemlevellow-powerdesigntechniqueshaveattractedalargebodyofresearch[1].Pervasivecomputing,un-intrusiveintegrationofcomputationintoourdailylivesthroughsensingsystemstoenhanceouractivities,iswidelybelievedtorepresentthenextmajormarketfornextgenerationwirelesselectronicsindustry.Oneofthekeychallengesinpervasivecomputingresearchisenergyharvesting,wherethefocusistodevelopenergyharvesterstopowerelectronicdevices,particularlyinwearableandembeddedsensors[2]wherebatteriesarecumbersomeorimpractical.Atpresentthereareconsiderableandcontinuingresearcheffortsworld-widetosupporttheenergyharvestingparadigmandself-poweredelectronics.Anenergyharvesterhasnormallythreemaincomponents:themicro-generatorwhichconvertsam-bientenvironmentenergyintoelectricalenergy,thepowerprocessingcircuitwhichregulatesthegeneratedvoltage,andthestorageelement(Fig.1.
Fig.1.Diagramofanenergyharvester
Themajorityofthereportedresearchinenergyharvestinghasbeenonimprovingtheefficiencyoftheenergyharvestersthroughthedesignandfabricationofnovelmicro-generators,materialsanddevices[3].Theamountofpowerthatcanbeharvestedinaparticularapplicationishighlydependentupon
978-3-9810801-5-5/DATE09©2009EDAA
theenergysourcebeingharvested.Typically,powerdensitiesofaround800μW/cm3formachinevibrationapplicationsandupto140μW/cm3forhumanpoweredapplicationscanbeexpected[4],however,thepoweroutputofvibration-harvestinginertialgeneratorsishighlysensitivetothefre-quencyandamplitudeofthevibrationsource[5]andsothesefiguresareindicativeonly.Practicalgeneratorshavebeenreportedwithpowerdensitiesof17μW/cm3foranon-resonantdevice[6],[7],toaresonantdevicecapableofgenerating30μW/cm3.Finiteelementanalysispackagesarebeingusedtosimulateandoptimisetheperformanceofthemicro-generatorpartoftheself-poweredsystem[8].Typicallythegeneratedvoltagefromamicro-generatorisnotsuitableforpoweringanelectronicdevicedirectly.Forexampletheoutputvoltagefromanelectromagneticmicro-generatorisACandtoolowwhilethepiezoelectricdevicenormallygeneratesACvoltagethatistoohigh.Thereforeexternalanaloguecircuitsareoftenemployedtoregulate(i.eboostuporstepdown,AC/DCconversionetc.thevoltageandstoretheenergyinabatteryorasuper-capacitor.Theimportanceoftheenergystorageelementcannotbeignored.Super-capacitors,usedtostoretheharvestedenergy,provideasignificantchallengeintermsofmodeldevelopmentduetotheircomplexperformancelosscharacteristicscausedbyageingandinappropriatedischarging.Todesignandoptimisetheharvesterassociatedelectronics,simulatorssuchasSPICEareoftenused.Themicro-generatorisusuallymodelledeitherasanidealvoltagesourceoranequivalentcircuitmodel[9].Wehaverecentlydemonstratedthroughsimulationandexperimentationthatsuchmodellingtechniqueofvibration-basedelectromagneticharvestersisinadequateforaccuratepredictionoftheenergyharvesterperformance[10].APSpicebasedelectromechanicalsimulationtoolkitforinertialmicro-generators,whichincorporatessomeadditionalfeaturesofthephysicalsystemssuchasendstopdynamicsandparasiticdamping,hasalsobeenreported[11].
Anenergyharvesterisasystemconsistingofseveralcom-ponentsfromdifferentphysicaldomainsincludingmechanical,magneticandelectricalaswellastheexternalcircuitswhichregulateandstorethegeneratedenergy.Todesignhighlyefficientenergyharvesters,webelievethatitiscrucialtoconsiderthevariouspartsofanenergyharvesterinthecontext

ofacompletesystem.Aswillbeshowninthispaper,thegainatonepartmaycomeatthepriceofefficiencylosselsewhere,rendingtheenergyharvestermuchlessefficientthanexpected.Todatetherehasbeennoreportedautomateddesignflowforenergyharvestersandtheaimofthispaperistoproposesuchanapproach.Amixed-physical-domainhardwaredescriptionlanguage(HDLcanbeusedtomodelandcorrectlypredicttheperformanceofanenergyharvestersystem.ThisisbecausetheHDLdescribesthemicro-generatorandexternalelectronicsasanintegratedmodel,sothattheclosemechanical-electricalinteraction,whichisoftenmissingintraditionalenergyharvesterdesignmethods,canbecapturedaccurately.SeveralHDLsthatsupportmultipledomainsystemmodellingandsimulationareavailable,suchasVHDL-AMS,Verilog-AMSandSystemC-A.InthispaperVHDL-AMS[12]hasbeenchosenasthemodellinglanguagetodemonstratetheconceptofanautomatedenergyharvesterdesignflow.
II.PROPOSEDENERGYHARVESTERDESIGNFLOWTheinputtotheenergyharvesterdesignflowaretheuserspecifiedmicro-generatortype(piezoelectric,electromagnetic,electrostaticandthefrequency/amplitudeofexcitation.Givenadesignobjective,suchastoachievethebestpossiblepowerdensityforspecifiedmicro-generatortypetoworkatresonance,theoutputfromthedesignflowarethedimensionofmicro-generatorandsuitablepowerprocessingcircuits.Theproposeddesignflowisoutlinedinthepseudo-codeofAlgorithm1.Naturally,theprocessstartswithinitialdesignspecification,suchasavailableenergysource,environmentalenergydensity,devicesize,minimumvoltagelevel/poweroutputetc.Accordingtothesespecifications,HDLmodelsofenergyharvestersystemcomponentsareconstructedfromcomponentcellsavailableinthecomponentlibrary.Thecom-ponentlibrarycontainsparameterisedmodelsofdifferentkindofmicro-generatorstructures,variouspowerprocessingcircuittopologiesandstorageelements.TheouterloopintheAlgo-rithm1representsthisstructureconfigurationprocess,whichinvolvesexaminingandcomparingthoseHDLmodelsfromthelibrarywiththeaimofidentifyingasetofcomponentsthatmeetspecificuserrequirements.Theinnerdesignflowloopwillthenfindthebestperformanceofeachcandidatedesignbyadjustingelectricalandnon-electricalparametersofthedesign’smixed-technologyHDLmodel.Theparametricoptimisationofthegeneratedstructurewillfurtherimprovetheenergyharvesterefficiencybyemployingsuitableoptimisationalgorithms.Fig.2showsanimplementationofthedesignflowinaVHDL-AMStestbenchwheretheoptimisationlooprunsasaseriesofconcurrentprocesses.TheexperimentalresultsshowninSectionsIVandVconfirmtheimportanceofbothloopswherethethedesignflowoptimisesmechanicalandelectricalparametersforeachpossiblearchitectureandselectstheonethatextractsthemostpowerfromagivenexcitation.Oneofthekeyrequirementsforenergyharvestercomponentmodelsisthatmodelsneedtocaptureboththe-oreticalequationsandpracticalnon-idealitiesrequiredforaccurateperformanceestimation.Themodelsshouldsupport
differentmechanical-electricalstructuresandwillbeexpressedintermsofHDLdescriptions.Theywillbeabletopredictthebehaviouroftheactualdeviceaccuratelywhileremainingreconfigurable.Asproofofconcept,asmallVHDL-AMSmodellibraryhasbeenbuilttodemonstratetheefficiencyofthedesignflow,asdiscussedinSectionIII.Basedonthedevelopedmodellibrary,SectionIVdescribestheautomatedstructureconfigurationthathasbeencarriedoutusingasingleVHDL-AMSsimulator.Theconfigurationresultandsimulationsofdifferentenergyharvestermodelshaveledtoin-depthunderstandingofhowelectromagneticmicro-generatorperformswhenconnectedtolossyvoltagemultiplierstoboostthemicro-generatoroutput.Theresultshavebeenusedfortheperformanceoptimisation,whichispresentedinSectionV.Algorithm1AutomatedenergyharvesterdesignflowInitialdesignstructureandspecificationStructureconfigurationloop:foralldesignstructuresdoBuildHDLmodelofdesignOptimisationloop:repeat
SimulateandevaluateperformanceifbestperformancenotachievedthenUpdatedesignparametersendif
untilbestperformanceachieved
iftherearemorestructurestotrythenSelectnewstructureendifendfor
Fig.2.ImplementationoftheproposedenergyharvesterdesignflowinVHDL-AMS
III.ENERGYHARVESTERMODELS
Vibration-basedelectromagneticenergyharvestersareprov-ingtobesuccessfulwhenappliedinreal-worldapplications[13]andthereforeareusedinthispaperasproof-of-concept

fortheproposeddesignflow.Thecasestudypresentedinthispaperusesavibration-basedelectromagneticmicrogenerator[14]asanexample.Thedesignisbasedonacantileverstructure.Thecoilisfixedtothebaseandfourmagnets,whicharelocatedonbothsidesofthecoil,formtheproofmass(Fig.3.
Fig.3.Cantileverbasedelectromagneticmicro-generator
Thisdevicecanbemodelledasasecond-orderspring-dampingsystem,whichhasbeenwidelyused[3],andwhose
dynamicsis:
mz¨(
t+cpz(˙t+ksz(t+Fem=my(¨t(1
wheremistheproofmass,z(tistherelativedisplacement
betweenthemassandthebase,cpistheparasiticdampingfactor,ksisthespringstiffness,y(tisthedisplacementofthebaseandFemistheelectromagneticforce.
Theelectromagneticvoltagegeneratedinthecoilisgivenby:
vem=Φz(
˙t(2
whereΦ=NBlisthemagneticfluxthroughthecoilandN
isthenumberofcoilturns,Bisthemagneticfieldandlistheeffectivelength.
Theoutputvoltageisdefinedby:
v(t=vemRci(tLci(˙t
(3
whereRcandLcaretheresistanceandinductanceofthecoilrespectivelyandi(tisthecurrentthroughthecoil.Finally,theelectromagneticforceiscalculatedas:
Fem=Φi(t
(4
Intheaboveequations,thecoilparametersaregiveninnumberofturnsNandresistanceRc.However,whenmanufacturingacoil,thespecificationisoftengivenbythethicknesst,innerradiusr,outerradiusRandwirediameterdthese.TobuildparametersparameterisedareincorporatedHDLmodels,andlistedtherelationsbelow.betweenThetotalwirelengthis:
l=4ft(R2r2/d2
(5
wherefisthefillfactor.Thenumberofturnsis:
N=l/(2πRave
(6
whereRave=(Rr/2+ristheaverageradius.Thecoilresistanceisgivenby:
Rc=4ρl/(πd2
(7whereρistheresistivityofthematerial.
PartoftheVHDL-AMSmodelcodeisgivenbelow.Theomittedpartdescribestheactualmagneticfluxthroughthecoil(Φasapiecewisefunctionoftherelativedisplacementbetweenthecoilandmagnet,detailsofwhichhavebeendiscussedinapreviouspaper[10].
libraryIEEE;
useIEEE.ENERGY_SYSTEMS.all;
useIEEE.MECHANICAL_SYSTEMS.all;useIEEE.ELECTRICAL_SYSTEMS.all;useIEEE.math_real.all;
usework.EnergyHarvester.all;entityEMHis
port(terminalHOUSE:translational;terminalLOAD:electrical;endentityEMH;
architectureBehaviourofEMHis
quantityytacrossHOUSEtotranslational_ref;quantityzt:DISPLACEMENT;quantityemv:VOLTAGE;
quantityvtacrossitthroughLOADtoelectrical_ref;
quantityFem,Pout,Phi:real;
begin
mp*zt’DOT’DOT+CpPhi*zt’DOT+Ks*zt+Fem==-mp*yt’DOT’DOT;emv==vt-Rc*zt’DOT==emv;
Fem==-Phi*it-Lc*it’DOT;*it;Pout==-it.*vt;..
endarchitectureBehaviour;
Theabovemodelcorrectlycapturesthepracticalnon-idealitiesofthemicro-generatorbylinkingitsnon-linearmechanicalandmagneticcharacteristicswiththeelectricaloutput.Twotypesofthismicro-generatorhavebeenmanu-facturedandmodelled,whicharebasedonthesamestructurebuthavedifferentdimensions.SomeofthekeyparametersarelistedinTableI.Ascanbeseenfromthetable,micro-generatorTypeIIisbiggerthanTypeIandbecausethecoilischangeable,boththemicro-generatorscanhavedifferentwirediameters.
TABLEI
MICRO-GENERATORSPARAMETERSTypeITypeIIProofmass(g0.62.4Magnetsize(mm2.03.0Cantileverlength(mm10.013.7Wirediameter(um12/16/2516/25Coilradius(mm1.22.45Coilthickness(mm
0.48
1.3

Standardvoltagemultipliers(VMs,whichareusedtorectifyandboostupACvoltage,canactasthepowerprocessingcircuittoanelectromagneticmicro-generatorinenergyharvestersystems.Fig.4showstwotypesofVMconfiguration,namelyVillard(Fig.4(ato(candDickson(Fig.4(dto(f[15].Inourmodellibrary,theVMsaremodelledoncircuit-levelinVHDL-AMSusingstandardRCcomponentsanddiodes.3,4,and5stageVMshavebeenincludedinthelibraryasshowninFig.4.Othertypesofboosterconfigurationarealsopossible.
(a(b(c
(d(e(f
Fig.4.Voltagemultiplierconfigurations,(a-(c:3to5stageVillardVM,(d-(f:3to5stageDicksonVM
Incaseofthestorageelement,asupercapacitorhasbeenmodelledasinFig.5[16],whereRleakagerepresentstheleak-ageresistanceandRESRistheequivalentseriesresistance.
Fig.5.Simplifiedsupercapacitormodel
IV.AUTOMATICSTRUCTURECONFIGURATION
TheautomaticstructureconfigurationprocesscombinestheouterloopinAlgorithm1,whichselectsanarchitecturalstruc-tureofthewholeenergyharvestersystem,withparametricperformanceoptimisationasdiscussedinthenextsection.ThelastsectionexplainsasmallHDLmodellibraryofenergyharvestercomponentswhichcontainstwotypesofvibrationmicro-generator,eachofwhichcanbeconfiguredwithdifferentcoils(wirediameterof12/16/25μm,andtwotypesofvoltageboostersthathavethreetofivestages.Theconfigurationtargethasbeensettofindthesetofcomponentsthatcanchargethe0.047Fsupercapacitorto2Vinshortesttime.SimulationsofeverypossibleenergyharvesterstructurehavebeencarriedoutsimultaneouslyandaVHDL-AMSpro-cesshasbeendevelopedandimplementedinthetestbenchtoautomaticallytrackthebestmodel.Theconcurrentsimulation
ofmultipleconfigurationswasenabledbythegeneratesyntaxinVHDL-AMS:
foriin1toNgenerateentityEMHgenericmap(
generics=>value(iport···
map(
ports=>port(iend···;
generate;
TheSystemVisionVHDL-AMSsimulatorfromMentorGraphics[17]hasbeenusedasthesinglesoftwareplatform.DetailsoftheoutcomedesignarelistedinTableII.ThewholedesignflowbasedonAlgorithm1took26hoursofCPUtimeonaPentium4machine.
TABLEII
PARAMETERSOFTHECONFIGURATIONRESULTMicro-generatorTypeIIWirediameter25um
Voltagebooster
3-stageDicksonVM(Fig.4(d
Notsurprisingly,themicro-generatorIIhasbeenchosenbecauseitisbiggerandstoresmorekineticenergy.However,itisinterestingthatthecoilwiththelargestwirediameter,whichleadstothefewestnumberofturns,andtheVMwiththefeweststageshavebeenchosen.Theintegratedmodellingapproachinourdesignflowallowsonetoperform“what-if”scenarios.Thereforetofurtherinvestigatetheresult,moresimulationshavebeendoneandanimportanttrade-offbetweentheelectromagneticmicro-generatorandtheVMvoltageboosterhasbeenfound.Fig.6showsthechargingwaveformsofTypeImicro-generatorconnectedtothesame5-stageVMbutconfiguredwithdifferentcoils.Atthebeginning,theenergyharvesterwith25μmwirediameterchargesthefastestandthe12μmconfigurationchargestheslowestwhilethe16μmoneisinbetween.Butthe25μmconfigurationalsosaturatesquicklyandreachesthe2Vmarkslowerthanthe16μmenergyharvester.Fromthesimulationresultsitcanbeforeseenthatthe16μmconfigurationwillalsosaturateatsomepointwhilethe12μmonereachesthehighestvoltage.Similarresultshavebeenobtainedfromthevoltagebooster.Fig.7showsthechargingwaveformsofTypeIImicro-generatorwith25μmcoilconnectingwith3,4and5stagesDicksonVMs.Itcanbeseenthattheenergyharvesterwith3-stageVMchargesthesupercapacitorto2Vfirstandtheonewith5-stageVMcanreachhighestvoltage.
Fromthesimulationresultswecanconcludethatinanenergyharvesterdesignthatcombineselectromagneticmicro-generatorandvoltagemultiplier,thefewernumberofturnsinthecoilandthefewerVMstages,thehigherinitialchargingratetheenergyharvestercangetbutthelowervoltageitcanfinallyreach.Therefore,althoughthemicro-generatorwithmorecoilturnscangeneratemorepowerandVMswithmorestagescanboostthevoltagehigher,undercertaincircumstancestheoptimisationofthesesubsystemsinisolationdoesnotleadtoagloballyoptimiseddesign.Inthetraditional

Fig.6.SimulationofTypeImicro-generatorwithdifferentcoils
Fig.7.SimulationofenergyharvesterwithdifferentVMs
approaches,wheredifferentcomponentsofanenergyharvesterindifferentphysicaldomainsaredesignedinseparation,thegainatonepartmaycomeatthepriceofefficiencylosselsewhere,rendingtheenergyharvestermuchlessefficientthanexpected.Ourresultsprovideevidencethataholisticapproach,whichisbasedonanintegrateddesignofthecom-pletesystemwiththemicro-generatorloadedbytheassociatedelectronics,canleadtosuperiorperformancecharacteristicsandhencemaybeveryusefulforthedevelopmentoffuture,morecomplicatedsystemsandmodellibraries.
V.PERFORMANCEOPTIMISATION
Intheproposeddesignflow(Fig.2,thegeneratedenergyharvesterconfigurationshouldbeparameterisedsuchthatautomatedperformanceoptimisation,carriedoutintheinnerloopofAlgorithm1,willbeabletofurtherimprovetheenergyharvesterefficiencybyemployingsuitableoptimisationalgo-rithms.Theparametersusedfortheoptimisationarefromboththemicrogeneratorandthevoltagebooster.Theoptimisationobjectistoincreasethevoltageacrossthesupercapacitor.Theoptimisationalgorithmgeneratesdesignparameterstothemodelandobtainsthechargingratethroughsimulation.Theoptimisationlooprunscontinuouslyuntilthedesign
parametersreachanoptimum(Fig.2.Themicro-generatorparametersthatcanbeoptimisedarerelatedtothecoilsize,i.ethethickness(tandtheouterradius(R.Becauseothercomponentssuchasthemagnetsandcantileverdeterminetheresonantfrequencyofthemicro-generatorandthusshouldbebasedonapplicationrequirements.ThecircuitparametersofvoltageboosterarethecapacitorvaluesofeachVMstage.Theentireenergyharvesterisoptimisedasanintegratedmodel.ThesearchingspaceofparametersisgiveninTableIII.Theoptimisationisbasedontheconcurrentsimulationsofdesigninstancesfromuniformsamplingthesearchspaceandtrackthebestresult.Thisisrelativelysimpleandstraightforwardbecauseaftertheautomaticstructureconfigurationthesearchspaceisquitesmall.Howeverotheroptimisationalgorithmsmayalsobeapplied.WehavedemonstratedanintegratedoptimisationdirectlyimplementedinanHDLtestbenchwhichemploysageneticalgorithmwhereateachgeneticgenerationmultipleinstancesofthedesignaresimulatedconcurrentlyasindividualchromosomesandanalysedforselection[10].Tovalidatetheeffectivenessofourproposedapproachtoimproveenergyharvestingefficiency,wehavecarriedoutthefollowingsimulationsandexperimentalmeasurements.Thevibration-basedmicro-generatorisbasedonthecantileverstructureandhasbeendesignedseparatelyfromtheremainingpartsoftheenergyharvester.Thevoltageboostercircuitusestheoptimalconfigurationpublishedinliterature[18]andhasalsobeendesignedindependentlyfromtherestoftheenergyharvester.ParametersoforiginaldesignaregiveninTableIV.
TABLEIII
OPTIMISATIONSEARCHINGSPACE
Wirediameter(um12/16/25Coilthickness(mm1.0-1.3Coilradius(mm2.0-2.45Capacitorvalues(uF47/100/150
TABLEIV
PARAMETERSOFORIGINALENERGYHARVESTERMicro-generator
Wirediameter(um16Coilthickness(mm1.3Coilradius(mm2.45
Voltagetransformer
VMconfiguration5-stageDicksonCapacitorvalues(C1-C5,uF47,150,150,47,150
Aftertheproposeddesignflow,TableVgivesthenew
micro-generatorandvoltageboosterparameterswhicharere-ferredtoas“optimised”design.Theimpactofthesevaluesonincreasingthevoltageacrossthesupercapacitorhasbeenin-vestigatedinbothsimulationandexperimentalmeasurements(seeFig.8.TheimpactofusingthesupercapacitormodelinFig.5insteadofanidealcapacitorhasalsobeeninvestigated.Ascanbeseenfromthefigure,thereisgoodcorrelationbetweenthesimulationandexperimentalwaveformsinboththeenergyharvesterdesigns,whichvalidatestheeffectivenessandaccuracyoftheproposeddesignflow.Fig.8showsthat

theenergyharvesterfromoriginaldesigncanchargethesupercapacitorto2Vin6000secondswhiletheoptimiseddesignonlyuses1500seconds,whichrepresentsa75%improvement.
TABLEV
PARAMETERSOFENERGYHARVESTERCONFIGUREDUSINGALGORITHM1
Micro-generator
Wirediameter(um25Coilthickness(mm1.3Coilradius(mm2.0
Voltagetransformer
VMconfiguration3-stageDicksonCapacitorvalues(C1-C3,uF100,100,47
Fig.8.Simulationandexperimentalwaveformsoforiginalandoptimisedenergyharvesters
VI.CONCLUSION
ThispaperpresentedanautomatedenergyharvesterdesignflowthatcangenerateoptimisedconfigurationfromanexistingHDLmodellibraryaswellascarryoutperformanceoptimi-sationthroughtheemploymentofasinglesoftwareplatform.Theeffectivenessoftheproposeddesignflowhasbeendemon-stratedbyautomaticstructureconfiguration,optimisationandexperimentalvalidationofanenergyharvesterpoweredbyanelectromagneticvibration-basedmicro-generator.Wehaveshownthatthisapproachcanbeusedtoinvestigatethetrade-offsbetweendifferentenergyharvestercomponentswheretheoptimisationofsubsystemsinisolationdoesnotleadtoagloballyoptimaldesign.Anewenergyharvesterhasbeenmanufacturedaccordingtotheoutcomefromtheproposeddesignflowandexperimentalmeasurementsofthenewdevicehavevalidatedtheresultswhichshowa75%improvementinthesupercapacitorchargerate.Continuingworkwillexpandthedesignflowbyinvestigatingothertypesofmicro-generator,suchaspiezoelectricandelectrostatic,aswellasnewpowerprocessingcircuitarchitectures.FurtherworkwillalsofocusondevelopingnewoptimisationalgorithmsthatcanspeedupCPUtime.
ACKNOWLEDGMENT
TheauthorswouldliketothanktheEngineeringandPhys-icalSciencesResearchCouncil(EPSRC-UKforfundingthis
workinpartundergrantnumberEP/E035965/1.
REFERENCES
[1]M.Schmitz,B.Al-Hashimi,andP.Eles,SystemLevelDesignTechniques
ofEnergy-EfficientEmbeddedSystems.KluwerAcad.Publishers,2003.[2]J.A.ParadisoandT.Starner,“Energyscavengingformobileand
wirelesselectronics,”PervasiveComputing,IEEE,vol.4,no.1,pp.18–27,2005.
[3]S.P.Beeby,M.J.Tudor,andN.M.White,“Energyharvesting
vibrationsourcesformicrosystemsapplications,”Measurementscienceandtechnology,vol.17,no.12,pp.R175–R195,2006.
[4]T.vonBuren,P.Mitcheson,T.Green,E.Yeatman,A.Holmes,and
G.Troster,“Optimizationofinertialmicropowergeneratorsforhumanwalkingmotion,”SensorsJournal,IEEE,vol.6,no.1,pp.28–38,2006.[5]P.Mitcheson,T.Green,E.Yeatman,andA.Holmes,“Architectures
forvibration-drivenmicropowergenerators,”JournalofMicroelectrome-chanicalSystems,vol.13,no.3,pp.429–440,2004.
[6]P.Mitcheson,P.Miao,B.Stark,E.Yeatman,A.Holmes,andT.Green,
“MEMSelectrostaticmicropowergeneratorforlowfrequencyopera-tion,”SensorsandActuatorsA:Physical,vol.115,no.2-3,pp.523–529,2004.
[7]M.El-Hami,P.Glynne-Jones,N.White,M.Hill,S.Beeby,E.James,
A.Brown,andJ.Ross,“Designandfabricationofanewvibration-basedelectromechanicalpowergenerator,”SensorsandActuatorsA:Physical,vol.92,no.1-3,pp.335–342,2004.
[8]S.Beeby,R.Torah,M.Tudor,P.Glynne-Jones,T.O’Donnell,C.Saha,
andS.Roy,“Microelectromagneticgeneratorforvibrationenergyharvesting,”J.MicromechanicsandMicroengineering,vol.17,no.7,pp.1257–1265,2007.
[9]R.Amirtharajah,J.Wenck,J.Collier,J.Siebert,andB.Zhou,“Circuits
forenergyharvestingsensorsignalprocessing,”inDesignAutomationConference,200643rdACM/IEEE,24-28July2006,pp.639–644.[10]L.Wang,T.Kazmierski,B.Al-Hashimi,S.Beeby,andR.Torah,
“Integratedapproachtoenergyharvestermixedtechnologymodellingandperformanceoptimisation,”inDesign,TestandAutomationinEurope,10-14Mar.2008,pp.704–709.
[11]K.Gandu,P.Mitcheson,andT.Green,“Simulationtoolkitforenergy
scavenginginertialmicropowergenerators,”inProceedingsofPower-MEMS2007,2007,pp.137–140.
[12]E.ChristenandK.Bakalar,“VHDL-AMS–ahardwaredescriptionlan-guageforanalogandmixed-signalapplications,”CircuitsandSystemsII:AnalogandDigitalSignalProcessing,IEEETransactionson,vol.46,no.10,pp.1263–1272,Oct.1999.
[13]PerpetuumLtd,http://www.perpetuum.co.uk/.
[14]R.Torah,S.P.Beeby,M.J.Tudor,T.O’Donnell,andS.Roy,“De-velopmentofacantileverbeamgeneratoremployingvibrationenergyharvesting,”inProceedingsofThe6thInt.WorkshoponMicroandNan-otechnologyforPowerGenerationandEnergyConversionApplications,2006,pp.181–184.
[15]H.Yan,J.MaciasMontero,A.Akhnoukh,L.deVreede,and
J.Burghartz,“Anintegrationschemeforrfpowerharvesting,”inProc.STWAnnualWorkshoponSemiconductorAdvancesforFutureElectronicsandSensors,17-18November2005,pp.64–66.
[16]R.Nelms,D.Cahela,R.Newsom,andB.Tatarchuk,“Acomparisonof
twoequivalentcircuitsfordouble-layercapacitors,”inAppliedPowerElectronicsConferenceandExposition,1999.APEC’99.FourteenthAnnual,14-18March1999,pp.692–698vol.2.
[17]MentorGraphicsCorporation,SystemVisionUser’sManual,ser.Version
3.2,Release2004.3,July2004.
[18]R.Torah,M.Tudor,K.Patel,I.Garcia,andS.Beeby,“Autonomous
lowpowermicrosystempoweredbyvibrationenergyharvesting,”pp.264–267,28-31Oct.2007.

An automated design flow for vibration-based ehs

相关推荐