verilog中双向端口inout的使用的心得

发布时间:2022-12-14 13:19:25


verilog中双向端口inout的使用的心得
见许多问这个问题的,总结一下,希望能对大家有点用处,如果有不对的地方,欢迎指出.
芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'inout端口不输出时,将三态门置高阻。这样信号就不会因为两端同时输出而出错了,更详细的内容可以搜索一下三态门tri-state的资料.
1使用inout类型数据,可以用如下写法:
inoutdata_inout;
inputdata_in;
regdata_reg;//data_inout的映象寄存器
reglink_data;
assigndata_inout=link_data?data_reg:1bz;//link_data控制三态门
//对于data_reg,可以通过组合逻辑或者时序逻辑根据data_in对其赋值.通过控制link_data的高低电平,从而设置data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使.link_data可以通过相关电路来控制.
2编写测试模块时,对于inout类型的端口,需要定义成wire类型变量,而其它输入端口都定义成reg


类型,这两者是有区别的.
当上面例子中的data_inout用作输入时,需要赋值给data_inout,其余情况可以断开.此时可以用assign语句实现:assigndata_inout=link?data_in_t:1bz;其中的link,data_in_treg类型变量,在测试模块中赋值.
另外,可以设置一个输出端口观察data_inout用作输出的情况:
Wiredata_out;
Assigndata_out_t=(!link?data_inout:1bz;
elseinRTL
inoutuseintopmodule(PAD
dontuseinout(triinsubmodule
也就是说,在内部模块最好不要出现inout,如果确实需要,那么用两个port实现,到顶层的时候再用三态实现。理由是:在非顶层模块用双向口的话,该双向口必然有它的上层跟它相连。既然是双向口,则上层至少有一个输入口和一个输出口联到该双向口上,则发生两个内部输出单元连接到一起的情况出现,这样在综合时往往会出错。
芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'inout端口不输出时,将三态门置高阻。这样信号就不会因

verilog中双向端口inout的使用的心得

相关推荐